Hardware Security

Sicherheit für integrierte Schaltungen

Das Gebiet der Hardware Security konzentriert sich auf Angriffe auf und Schutzmaßnahmen in integrierten Schaltungen, Chips, sowie Baugruppen mehrerer Chips auf Platinen. Die Hauptaufgaben unterteilen sich in die Abwehr von Angreifern, die physischen Zugriff auf ihr Angriffsziel besitzen, und in die Bereitstellung einer grundlegenden Hardware-Sicherheitsebene, auf der weitere Schutzmaßnahmen, zum Beispiel zum Schutz von Betriebssystemen, aufbauen können.

Besondere Schwerpunkte der Forschungsabteilung Hardware Security liegen auf der Sicherheitsevaluierung im Labor, auf der Absicherung und Integration von Mikrocontrollern und Secure Elements sowie auf dem sicheren Einsatz von System-on-Chips und FPGAs. Dabei wird in Bereichen der Seitenkanal-Analyse von kryptographischen Implementierungen, der Fehlerangriffe, des Hardware Penetration Testings, der Physical-Unclonable-Functions (PUF) und des Einsatzes von maschinellen Lernverfahren geforscht und publiziert. Die Ergebnisse erweitern den Stand der Technik in Evaluierung und Schutzmaßnahmen. Dieses ausgeprägte Kompetenzspektrum und die langjährige Erfahrung, sowie die entsprechende Gerätelandschaft mit Tooling im Labor machen es möglich, komplexe Systeme auf Schwachstellen zu untersuchen und individuelle Sicherheitslösungen zu gestalten.

Laborräume

 

Hardware Security Lab

Unser Hardware Security Lab bietet ein umfassendes Spektrum von Hardware-Sicherheitsanalysen: von offensiven Sicherheitsanalysen von eingebetteten Systemen bis hin zu hoch-spezialisierten Angriffen auf Sicherheitsimplementierungen.

Smart Sensor Lab

In unserem Smart Sensorlabor werden mit Hilfe von Software-Defined Radio Komponenten alle gängigen Funkstandards und darauf aufsetzenden IoT-Prokolle auf Schwachstellen untersucht.

Angebote im Überblick

Unser Ziel ist es, in enger Zusammenarbeit mit unseren Kunden und Partnern die Fähigkeit zur Beurteilung der Sicherheit von Systemen und Produkten systematisch zu verbessern, um die Systemzuverlässigkeit zu bewerten, Systeme sicher zu gestalten und die Sicherheit nachhaltig über deren gesamten Lebenszyklus zu bewahren.

Sicherheit bewerten

  • Sicherheits- bzw. Bedrohungsanalysen von eingebetteten Systemen - nach Bekanntwerden einer Schwachstelle oder davor
  • Bewertung der Sicherheit im Vergleich zu Forderungen aus Normen und Standards, beispielsweise im IoT- und Medizinbereich
  • Konkrete Hardware-Sicherheitsevaluierungen von Produkten, Chips und Systemen im Labor
  • Evaluierung von IoT-Produkten mit Hinblick auf die Sicherheit von Hardware, Firmware und (Funk-)Kommunikation
  • Seitenkanal-Analysen und Fehlerangriffe auf kryptographische Implementierungen
  • Entwicklung von Messplätzen und Tooling für Seitenkanal-Analyse und Fehlerangriffe nach Kundenanforderungen
     

Sicherheit gestalten 

  • Maßgeschneiderte Sicherheitskonzepte für eingebettete Systeme und IoT-Produkte im Spannungsfeld Kosten und Sicherheit
  • Sicherheitslösungen auf Basis von informierter Auswahl und korrekter Anwendungen von Schutzmechanismen moderner Mikrocontroller bzw. System-on-Chips sowie dedizierter Sicherheitschips
  • Einsatzfertige Sicherheitsfunktionen und kryptographische Algorithmen in Firmware auf Mikrocontrollern und in Hardware auf FPGAs für IoT-Geräte zur Umsetzung beispielsweise von Verschlüssung und sicheren Updates
     

Sicherheit bewahren

  • Entwicklung von Sicherheits-Roadmaps für Produkte insbesondere bei zunehmenden regulatorischen Anforderungen, z.B. im Bereich der Medizingeräte und IoT-Geräte allgemein
  • Vorausschauende Bewertungen der Sicherheit und Prognosen zu Herausforderungen in den kommenden Jahren

Expertise

Angriffe


Seitenkanalangriffe

Seitenkanalangriffe unterscheiden sich grundlegend von herkömmlichen Angriffen auf kryptographische Algorithmen. Im letzteren Fall versuchen Angreifer, ein komplexes mathematisches Problem zu lösen oder den gesamten Schlüsselraum zu durchsuchen, um kryptographische Systeme zu knacken. Im Gegensatz dazu nutzen Seitenkanalangriffe Informationen wie Laufzeit, Stromverbrauch oder elektromagnetische Emission. Angreifer können diese Informationen nutzen, um an geheime Daten zu kommen, die auf dem Gerät gespeichert sind. Mit modernsten hochpräzisen Messgeräten und Software evaluieren wir in unserem Hardware Labor die Seitenkanalsicherheit kryptographischer Implementierungen. Die dort gewonnenen Erkenntnisse nutzen wir, um Gegenmaßnahmen zu konzipieren und umzusetzen, die auf eine bestimmte Zielplattform zugeschnitten sind.

Fehlerangriffe

Fehleranriffe versuchen, Systeme in einer bestimmten Weise zu stören. Dies kann durch Erhöhen oder Absenken der Versorgungsspannung, durch Verändern der Taktfrequenz oder Umgebungstemperatur, oder durch gezielte Störung mittels Laser oder elektromagnetischer Impulse erreicht werden. In Kombination mit dem Wissen um das Funktionsprinzip eines kryptographischen Algorithmus können die bewusst induzierten Fehler genutzt werden, um Informationen über den intern verwendeten, geheimen Schlüssel zu sammeln. Ebenso können damit Speicherschutzmaßnahmen umgangen oder Debug-Schnittstellen aktiviert werden. Besonders die lasergestützte Fehlerinjektion ermöglicht es, Fehler mit sehr hoher Genauigkeit (zeitlich und örtlich) zu induzieren. Dies gibt dem Angreifer mehr Kontrolle und ermöglicht ein breiteres Spektrum an Angriffen. Unser Hardware Labor verfügt über zwei verschiedene Laserstationen, um Fehlerangriffe durchzuführen und die Sicherheit von Geräten und Gegenmaßnahmen zu bewerten.

Machine Learning

Moderne statistische Methoden, die häufig unter dem Begriff des Maschinellen Lernens zusammengefasst werden, dienen der verbesserten Auswertung komplexer Daten. Maschinelles Lernen bietet dabei die notwendige Flexibilität, um komplexe statistische Zusammenhänge sichtbar zu machen. In der Hardware Security Abteilung werden verschiedene Algorithmen zur Auswertung von Messdaten aus Seitenkanalmessungen und zur Anomalieerkennung in Sensornetzwerken genutzt. Maschinelles Lernen hilft dabei nicht nur Sensordaten auf ressourcenbeschränkten Plattformen oder Seitenkanalmessungen mit Terabytes an Daten besser zu verstehen, sondern eröffnet auch die Anwendung auf eine Vielzahl von neuartigen Problemstellungen.

System-Design

Internet-of-Things

Systeme im Internet-of-Things (IoT) verfügen typischerweise über eine lange Betriebsdauer, begrenzte Rechenressourcen und eine eingeschränkte Akkulaufzeit. Sie dürfen dabei meist auch nur geringe Kosten in der Herstellung und im Betrieb verursachen. Aufgrund dieser Rahmenbedingungen können viele der etablierten Sicherheitstechnologien nicht direkt in den IoT-Bereich übertragen werden. Ein Forschungsschwerpunkt der Hardware Security Abteilung liegt daher auf neuartigen Hardware- und Software-Designmustern, die das Ziel haben, die Widerstandsfähigkeit von IoT-Geräten mit eingeschränkten Ressourcen gegen Cyber-Angriffe zu erhöhen. Dazu zählen zum Beispiel Speicherschutzmechanismen, Systemattestierung und -identitäten sowie Maßnahmen gegen Denial-of-Service Angriffe.

Medizinprodukte

Vernetzte Medizinprodukte und sogenannte Wearables, wie beispielsweise kontinuierliche Blutzuckermessgeräte, oder auch implantierte Herzschrittmacher werden aufgrund der zunehmenden Verbreitung und Funktionalität hohen Angriffsrisiken ausgesetzt. Auf Basis unserer Analyse- und Lösungskompetenz von Hardware- bis Vernetzungs-Sicherheit helfen wir den Herstellern, sichere Geräte zu entwerfen, die auch den Anforderungen aus der neuen Medical Device Regulation (MDR) gerecht werden.

Sensornetze

Drahtlose Sensornetze sind die Sinnesorgane des Internet-of-Things. Häufig sind die gesammelten Daten kritisch und müssen gegen Abhören oder Manipulation geschützt werden. Das Management der hierzu notwendigen kryptografischen Schlüssel stellt auf Grund der großen Anzahl an Sensorknoten und deren beschränkter Rechenleistung eine Herausforderung dar. Unsere Expertise im Bereich von Schlüssel-Management Lösungen, die Sicherheit und Nutzerfreundlichkeit vereinen und es jedem Nutzer ermöglichen, unkompliziert sichere drahtlose Sensornetze zu betreiben, bauen wir daher kontinuierlich aus.

System-on-Chips

Systems-on-Chips (SoCs) vereinen eine Vielzahl an Funktionen in einem einzigen Chip. Sie sind die Basis von unzähligen eingebetteten Systemen und müssen daher sorgfältig abgesichert werden. Die vielen Funktionen eines SoCs liefern hierbei eine große Angriffsfläche, die die Absicherung zur großen Herausforderung macht. Debug-Schnittstellen und externe Speichermodule müssen abgesichert, kryptografische Schlüssel sicher abgelegt und Software sicher ausgeführt werden. Secure Boot und Update, Firmwareverschlüsselung, Schlüsselspeicher, der Schutz geistigen Eigentums und sichere Ausführungsumgebungen (Trusted Execution Environments) sind nur einige der Themenfelder, die seit Jahren im Fokus der Hardware Security Abteilung stehen.

Hardware-Entwicklung

FPGAs und PUFs

Die Nutzung programmierbarer Hardware, sogenannter Field Programmable Gate Arrays (FPGAs), in eingebetteten Systemen sowie als Teil hochperformanter Systems-on-Chip steigt rasant. Dabei können zugekaufte Designs von Dritten die Sicherheit des Gesamtsystem von innen heraus gefährden. Unsere Sicherheitsreviews zeigen gezielt Angriffspunkte auf und helfen, Systeme frühzeitig abzusichern. Ein weiteres Forschungsfeld beschäftigt sich mit der Nutzung von FPGAs als Basis für Physical Unclonable Functions (PUFs). PUFs sind Schaltungen, die Fertigungsschwankungen nutzen, um einen einzigartigen Bitstring zu erzeugen. Dieser kann anschließend dafür verwendet werden, kryptographische Schlüssel zu binden oder einen Chip eindeutig zu identifizieren. PUFs können in FPGA-Anwendungen eingesetzt werden, um dem Anwender einen sicheren Schlüsselspeicher zur Verfügung zu stellen, ohne sich auf Sicherheitsmerkmale verlassen zu müssen, die vom Hersteller bereitgestellt wurden. Diese sind häufig weniger vertrauenswürdig und anfälliger für Angriffe. Ein Array von über 200 FPGAs erlaubt es uns hierbei, die statistischen Eigenschaften von verbesserten und neuartigen Implementierungen von PUF-Schaltungen auf FPGAs zu analysieren.

Vertrauenswürdige Elektronik

Die Sicherung von Elektronik-Lieferketten und das Thema sichere Open-Source Hardware, insbesondere RISC-V basierte System-On-Chips, haben in den letzten Jahren immer mehr an Bedeutung gewonnen. Wir bringen hier unsere Kompetenzen für Elektronik-Sicherheit ein und forschen an RISC-V basierten Designs und gehärteten Krypto-Beschleunigern, sowie an verbesserten Prüfverfahren zur Evaluierung der Vertrauenswürdigkeit.

Ausgewählte Projekte

© Fraunhofer AISEC / Oliver Bodmer
Sichere Designs, Lieferketten und Analyseverfahren stehen im Fokus der vertrauenswürdigen Elektronik.

Studie zu vertrauenswürdiger Elektronik

Microchips sind inzwischen fester Bestandteil unseres Alltags. Doch Hardware-Lieferketten erstrecken sich heute über den gesamten Globus. Ihre Komplexität birgt Cybersicherheitsrisiken wie ungewollte Sicherheitslücken, absichtlich eigebrachte Hintertüren und gefälschte Bauteile. Um diesen Herausforderungen zu begegnen, stehen bei der vertrauenswürdige Elektronik sichere Designs, die Sicherheit von Lieferketten und Analyseverfahren im Mittelpunkt. Die Entwicklung sicherer und zuverlässiger Mikroelektronik ist entscheidend für die technologische Souveränität Europas und die Grundlage für vertrauenswürdige IT-Systeme.

In der Studie »Study on Trusted Electronics: An overview over requirements, technologies and initiatives towards more trusted electronics« untersucht das Fraunhofer AISEC für die Europäische Kommission, warum vertrauenswürdige Elektronik noch nicht großflächig eingesetzt wird und was Hersteller motiviert, die Vertrauenswürdigkeit ihrer Geräte zu erhöhen. Die IT-Sicherheitsforschenden Dr. Matthias Hiller und Johanna Baehr untersuchen Ansätze in Bezug auf Design, Lieferkettensicherheit und Analysefähigkeit, die die Cybersicherheit von eingebetteten Systemen erhöhen und gleichzeitig den Anforderungen von Herstellern gerecht werden. Beispiele für einschlägige Initiativen zu vertrauenswürdiger Elektronik sowie Standardisierungsbestrebungen und ein Überblick über Roadmaps und Studien zum Thema werden vorgestellt.

Strategische Finanzierungsprogramme, Initiativen wie der European Chips Act, die enge Verknüpfung von Forschung und Praxis sowie die Einbeziehung von Unternehmen stärken das europäische Design- und Fertigungsökosystem und ebnen den Weg für ein sicheres und resilientes digitales Europa.

-> Zur Studie

Forschungsprojekt Velektronik


Ziel des Forschungsprojekts »Velektronik« ist es, eine Vernetzungsplattform für vertrauenswürdige Elektronik für Deutschland aufzubauen und so eine Schnittstelle zwischen Forschung und Unternehmen zu schaffen.

Unter der Gesamtkoordination des Fraunhofer AISEC arbeiten verschiedene Kooperationspartner aus Fraunhofer-Gesellschaft und Leibniz-Gemeinschaft in der Forschungsfabrik Mikroelektronik Deutschland (FMD) zusammen mit dem edacentrum in den nächsten drei Jahren gemeinsam in allen Bereichen der Elektronikentwicklung und -fertigung an Lösungskonzepten für vertrauenswürdige Elektronik. 

Im Rahmen der Förderrichtlinie für »Vertrauenswürdige Elektronik (ZEUS)« des Bundesministeriums für Bildung und Forschung (BMBF) werden übergreifende Fragestellungen in den drei Säulen Design, Fertigung und Analyse der Mikroelektronik-Wertschöpfungskette bearbeitet.

https://www.velektronik.de/

© AdobeStock/ Raimundas

Bayerisches Chip Design Center


Angesichts globaler Abhängigkeiten von mikroelektronischen Bauteilen und weltpolitischen Instabilitäten muss die Halbleiter- und Chipproduktion in Europa intensiver gefördert werden. Mit dem »Bayerischen Chip-Design-Center« (BCDC) leistet auch der Freistaat Bayern einen wichtigen Beitrag dazu.

Im BCDC bündeln das Fraunhofer-Institut für Angewandte und Integrierte Sicherheit AISEC, die Fraunhofer-Einrichtung für Mikrosysteme und Festkörper-Technologien EMFT und das Fraunhofer-Institut für Integrierte Schaltungen IIS ihre Forschungskompetenzen, um ihre IC-Design-Kompetenz auszubauen, ein Chipdesign-Ökosystem aufzubauen und einen niederschwelligen und zugleich bei Anwendungen risikominimierten Zugang zum Chipdesign vor allem für kleine und mittelständische Unternehmen zu ermöglichen.

Das Fraunhofer AISEC bringt dabei vorrangig seine Expertise im Design, in der Entwicklung und in der Erprobung von sicheren und verlässlichen Systemen ein. Dazu entwickeln die Wissenschaftler*innen neue Sicherheitstechnologien und Analysemethoden für vertrauenswürdige Elektronik-Lieferketten sowie auf spezifische Anwendungsfälle zugeschnittene Sicherheits-Chips. Eng verzahnt mit der Hardware ermöglichen neue Betriebssystemkomponenten geschützte Softwareumgebungen auf Basis von Isolationsmechanismen wie Trusted Execution Environments und Confidential Computing. Verifizierte Bootvorgänge, sichere Firmware-Updates und architekturbasierte HW/SW-Gegenmaßnahmen zum Schutz vor häufig genutzen Software-Schwachstellen sorgen ergänzend für durchgehende Systemsicherheit.

www.iis.fraunhofer.de/bcdc

Weitere Projekte

 

Verbundprojekt

ALESSIO

Das Verbundprojekt ALESSIO entwickelt updatefähige Sicherheitslösungen für eingebettete Systeme in Anwendungsbereichen mit hoher Lebensdauer.

 

Verbundprojekt

Aquorypt

Ziel des Verbundprojekts ist es, die Anwendbarkeit und praktische Umsetzung von quantencomputerresistenten kryptografischen Verfahren zu untersuchen.

 

Verbundsprojekt

SeCollA

Das Projekt »SeCollA« trägt zur Resilienz in der Industrie bei, indem es den Informationsaustausch absichert, Vertrauen gewährleistet und die Zugangskontrolle in industriellen Umgebungen durchsetzt.

 

Impulspapier

RISC-V

Im acatech IMPULS »RISC-V: Potenziale eines offenen Standards für Chipentwicklung« beschreibt Prof. Georg Sigl die Chancen und Risiken der offenen Befehlssatzarchitektur RISC-V und zeigt Handlungsoptionen für Wirtschaft und Politik auf.

Ausgewählte Initiativen und Kooperationen

 

Fraunhofer CCIT

IoT-Kommunikation

Im Fraunhofer AISEC treiben wir die Forschung in den Basistechnologien Vernetzung, Lokalisierung und Informationssicherheit voran.

 

Leistungszentrum

Sichere Intelligente Systeme

Der Zusammenschluss der Forschungsinstitute in Universitäten im Raum München unterstützt Industriekunden bei der digitalen Transformation mit Systemlösungen.

Publikationen

  • Johannes Geier, Lukas Auer, Daniel Mueller-Gritschneder, Uzair Sharif, and Ulf Schlichtmann. “CompaSeC: A Compiler-Assisted Security Countermeasure to Address Instruction Skip Fault Attacks on RISC-V”. In: Proceedings of the 28th Asia and South Pacific Design Automation Conference. ASPDAC ’23. New York, NY, USA: Association for Computing Machinery, 2023, pp. 676–682. DOI: 10.1145/3566097.3567925.
  • Bernhard Lippmann, Joel Hatsch, Stefan Seidl, Detlef Houdeau, Niranjana Papagudi Subrahmanyam, Schneider Daniel, Malek Safieh, Anne Passarelli, Aliza Maftun, Michaela Brunner, Tim Music, Michael Pehl, Tauseef Siddiqui, Ralf Brederlow, Ulf Schlichtmann, Bjoern Driemeyer, Maurits Ortmanns, Robert Hesselbarth, and Matthias Hiller. “VE-FIDES: Designing Trustworthy Supply Chains Using Innovative Fingerprinting Implementations”. In: Design, Automation & Test in Europe Conference & Exhibition (DATE). 2023.
  • Sebastian Sitaru, Georg Bramm, Alexander Zink, and Matthias Hiller. “Cybersecurity in digital healthcare – challenges and potential solutions”. In: Die Dermatologie. 2023.
  • Carl Riehm, Christoph Frisch, Florin Burcea, Matthias Hiller, Michael Pehl and Ralf Brederlow. “Structured Design and Evaluation of a Resistor-Based PUF Robust Against PVT-Variations”. In: International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS). 2023.

  • Julius Hermelink, Silvan Streit, Emanuele Strieder, and Katharina Thieme. “Adapting Belief Propagation to Counter Shuffling of NTTs”. In: IACR Transactions on Cryptographic Hardware and Embedded Systems 2023.1 (2022), 60–88. DOI: 10.46586/tches.v2023.i1.60-88. URL: https://tches.iacr.org/index.php/TCHES/article/view/9947.
  • Stefan Hristozov, Moritz Wettermann, and M. Huber. “A TOCTOU Attack on DICE Attestation”. In: CODASPY 2022, Twelveth ACM Conference on Data and Application Security and Privacy. Proceedings (2022). DOI: 10.1145/3508398.3511507.
  • Armando Miguel Garcia, Matthias Hiller: “Lightweight Authentication and Encryption for Online Monitoring in IIoT Environments”. In: International Symposium on Foundations and Practice of Security 2021 (2022). DOI 10.1007/978-3-031-08147-7_17.
  • Bodo Selmke, Emanuele Strieder; Johann Heyszl; S. Freud.; T. Damm. „Breaking Black Box Crypto-Devices Using Laser Fault Injection“. In: Foundations and practice of security. 14th International Symposium, FPS 2021 (2022). DOI 10.1007/978-3-031-08147-7_6.
  • M. Brosch, M. Probst, Georg Sigl. “Counteract Side-Channel Analysis of Neural Networks by Shuffling”. In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2022. Proceedings (2022). DOI 10.23919/DATE54114.2022.9774710.
  • A. Hepp, J. Baehr, Georg Sigl. “Golden Model-Free Hardware Trojan Detection by Classification of Netlist Module Graphs“. In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2022. Proceedings (2022). DOI 10.23919/DATE54114.2022.9774760.
  • M. Gross, N. Jacob, A. Zankl, G. Sigl. “Breaking TrustZone memory isolation and secure boot through malicious hardware on a modern FPGA-SoC”. In: Journal of cryptographic engineering (2022). DOI 10.1007/s13389-021-00273-8.
  • P. Karl, T. Fritzmann, Georg Sigl.  “Hardware Accelerated FrodoKEM on RISC-V“ In: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2022. Proceedings (2022). DOI 10.1109/DDECS54261.2022.9770148.
  • Bodo Selmke, Maximilian Pollanka, Andreas Duensing, Emanuele Strieder, Hayden Wen, Michael Mittermair, Reinhard Kienberger, and Georg Sigl. “On the application of Two-Photon Absorption for Laser Fault Injection attacks Pushing the physical boundaries for Laserbased Fault Injection”. In: IACR Trans. Cryptogr. Hardw. Embed. Syst. 2022.4 (2022), pp. 862–885. DOI: 10.46586/tches.v2022.i4.862-885. URL:  https://doi.org/10.46586/tches.v2022.i4.862-885.
  • Wagner, A., Oberhansl, F., & Schink, M. "To Be, or Not to Be Stateful: Post-Quantum Secure Boot using Hash-Based Signatures". Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security (2022), pp. 85-94.

  • Kathrin Garb, Johannes Obermaier, Elischa Ferres, and Martin König. “FORTRESS: FORtified Tamper-Resistant Envelope with Embedded Security Sensor”. In: 18th Annual International Conference on Privacy, Security and Trust (PST2021). 2021. DOI: to appear.
  • Kathrin Garb, Marc Schink, Matthias Hiller, and Johannes Obermaier. “Attacks and Countermeasures for Capacitive PUF-Based Security Enclosures”. In: 2021 IEEE Physical Assurance and Inspection of Electronics (PAINE). 2021. DOI: to appear.
  • Mike Hamburg, Julius Hermelink, Robert Primas, Simona Samardjiska, Thomas Schamberger, Silvan Streit, Emanuele Strieder, and Christine van Vredendaal. “Chosen Ciphertext k-Trace Attacks on Masked CCA2 Secure Kyber”. In: IACR Trans. Cryptogr. Hardw. Embed. Syst. 2021.4 (2021), pp. 88–113. DOI: 10.46586/tches.v2021.i4.88- 113.
    URL: https://doi.org/10.46586/tches.v2021.i4.88-113.
  • Florian Hauschild, Kathrin Garb, Lukas Auer, Bodo Selmke, and Johannes Obermaier. “ARCHIE: AQEMU-Based Framework for Architecture-Independent Evaluation of Faults”. In: 2021 Workshop on Fault Detection and Tolerance in Cryptography (FDTC). 2021. DOI: to appear.
  • Stefan Hristozov, Manuel Huber, Lei Xu, Jaro Fietz, Marco Liess, and Georg Sigl. “The Cost of OS-CORE and EDHOC for Constrained Devices”. In: Proceedings of the Eleventh ACM Conference on Data and Application Security and Privacy. CODASPY ’21. Virtual Event, USA: Association for Computing Machinery, 2021, 245–250. ISBN: 9781450381437. DOI: 10 . 1145 / 3422337 .3447834.
    URL: https://doi.org/10.1145/3422337.3447834.
  • Marc Schink, Alexander Wagner, Florian Unterstein, and Johann Heyszl. “Security and Trust in Open Source Security Tokens”. In: IACR Transactions on Cryptographic Hardware and Embedded Systems 2021.3 (2021), 176–201. DOI: 10.46586/tches.v2021.i3.176-201.
    URL: https://tches.iacr.org/index.php/TCHES/article/view/8972.
  • Martin Striegel, Jonas Erasmus, and Parag Jain. “Evaluating Augmented Reality for Wireless Network Security Education”. en. In: {IEEE} Frontiers in Education {FIE}. 2021. DOI: to appear.

  • Johann Heyszl, Katja Miller, Florian Unterstein, Marc Schink, Alexander Wagner, Horst A. Gieser, Sven Freud, Tobias Damm, Dominik Klein, and Dennis Kügler. “Investigating Profiled Side-Channel Attacks Against the DES Key Schedule”. In: IACR Trans. Cryptogr. Hardw. Embed. Syst.2020.3 (2020), pp. 22–72. DOI: 10.13154/tches.v2020.i3.22-72.
    URL: https://doi.org/10.13154/tches.v2020.i3.22-72
  • Stefan Hristozov, Manuel Huber, and Georg Sigl. “Protecting RESTful IoT Devices from Battery Exhaustion DoS Attacks”. en. In: IEEE International Symposium on Hardware Oriented Security and Trust (HOST). San Jose, CA, USA, 2020.
  • Mathieu Gross, Nisha Jacob, Andreas Zankl, and Georg Sigl. “Breaking TrustZone Memory Isolation through Malicious Hardware on a Modern  FPGA-SoC”. In: Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop, ASHES@CCS 2019, London, UK, November 15, 2019. Ed. by ChipHong Chang, Ulrich Rührmair, Daniel E. Holcomb, and Patrick Schaumont. ACM, 2019, pp. 3–12. DOI: 10.1145/3338508.3359568.
    URL: https://doi.org/10.1145/3338508.3359568.
  • Michael Gruber and Bodo Selmke. “Differential Fault Attacks on KLEIN”. In: Constructive Side-Channel Analysis and Secure Design 10th International Workshop, COSADE 2019, Darmstadt, Germany, April 35, 2019, Proceedings. Ed. by Ilia Polian and Marc Stöttinger. Vol. 11421. Lecture Notes in Computer Science. Springer, 2019, pp. 80–95. DOI: 10.1007/978-3-030-16350-1\_6.
    URL: https://doi.org/10.1007/978-3-030-16350-1\_6.
  • Marc Schink and Johannes Obermaier. “Taking a Look into Execute-Only
    Memory”. In: 13th USENIX Workshop on Offensive Technologies (WOOT 19). Santa Clara, CA: USENIX Association, Aug. 2019.
    URL: https://www.usenix.org/conference/woot19/presentation/schink.
  • Bodo Selmke, Florian Hauschild, and Johannes Obermaier. “Peak Clock: Fault Injection into PLL-Based Systems via Clock Manipulation”. In: Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop. 2019. DOI: 10.1145/3338508.3359577.
    URL: https://doi.org/10.1145/3338508.3359577.
  • Martin Striegel and Thomas Otto. “Smart Intersections Improve Traffic Flow and Road Safety”. In: ERCIM News 2019.119 (2019).
    URL: https://ercim- news.ercim.eu/en119/special/smart-intersections-improve-traffic-flow-and-road-safety.
  • Martin Striegel, Carsten Rolfes, Fabian Helfert, Max Hornung, Johann Heyszl, and Georg Sigl. “EyeSec: A Retrofittable Augmented Reality Tool for Troubleshooting Wireless Sensor Networks in the Field”. In: Proceedings of the 2019 International Conference on Embedded Wireless Systems and Networks, EWSN 2019, Beijing, China, February 2527, 2019. 2019, pp. 184–193.
  • Florian Unterstein, Nisha Jacob, Neil Hanley, Chongyan Gu, and Johann Heyszl. “SCA Secure and Updatable Crypto Engines for FPGA SoC Bitstream Decryption”. In: Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop, ASHES@CCS 2019, London, UK, November 15, 2019. Ed. by ChipHong Chang, Ulrich Rührmair, Daniel E. Holcomb, and Patrick Schaumont. ACM, 2019, pp. 43–53. DOI: 10.1145/3338508.3359573.
    URL: https://doi.org/10.1145/3338508.3359573.

  • Robert Hesselbarth, Florian Wilde, Chongyan Gu, and Hanley Neil. “Large Scale RO PUF Analysisover Slice Type, Evaluation Time and Temperature on 28nm Xilinx FPGAs”. en. In: IEEE InternationalSymposium on Hardware Oriented Security and Trust (HOST). Washington DC, USA, 2018.
  • Stefan Hristozov, Johann Heyszl, Steffen Wagner, and Georg Sigl. “Practical Runtime Attestationfor Tiny IoT Devices”. In: NDSS Workshop on Decentralized IoT Security and Standards (DISS) 2018, San Diego, CA, USA. 2018. ISBN: 1-891562-51-7. DOI: https://dx.doi.org/10.14722/diss.2018.23011. URL: www.ndss-symposium.org
  • Philipp Koppermann, Fabrizio De Santis, Johann Heyszl, and Georg Sigl. “Fast FPGA Implementa-tions of Diffie-Hellman on the Kummer Surface of a Genus-2 Curve”. In:IACR Trans. Cryptogr.Hardw. Embed. Syst.2018.1 (2018), pp. 1–17. DOI:10.13154/tches.v2018.i1.1-17. URL: https://doi.org/10.13154/tches.v2018.i1.1-17.
  • Cezar Reinbrecht, Bruno Forlin, Andreas Zankl, and Johanna Sepúlveda. “Earthquake - A NoC-based optimized differential cache-collision attack for MPSoCs”. In:2018 Design, Automation &Test in Europe Conference & Exhibition, DATE 2018, Dresden, Germany, March 19-23, 2018. IEEE,2018, pp. 648–653. ISBN: 978-3-9819263-0-9. DOI:10.23919/DATE.2018.8342090. URL: https://doi.org/10.23919/DATE.2018.8342090.
  • Bodo Selmke, Kilian Zinnecker, Philipp Koppermann, Katja Miller, Johann Heyszl, and Georg Sigl.“Locked out by Latch-up? An Empirical Study on Laser Fault Injection into Arm Cortex-M Proces-sors”. In:2018 Workshop on Fault Diagnosis and Tolerance in Cryptography, FDTC 2018, Amster-dam, The Netherlands, September 13, 2018. IEEE Computer Society, 2018, pp. 7–14. DOI:10.1109/FDTC.2018.00010. URL: https://doi.org/10.1109/FDTC.2018.00010.
  • Florian Unterstein, Johann Heyszl, Fabrizio De Santis, Robert Specht, and Georg Sigl. “High-Resolution EM Attacks Against Leakage-Resilient PRFs Explained - And An Improved Construction”. In: Cryptographers Track RSA Conference (CT-RSA 2018). Springer. 2018.
  • Samuel Weiser, Andreas Zankl, Raphael Spreitzer, Katja Miller, Stefan Mangard, and Georg Sigl. “DATA – Differential Address Trace Analysis: Finding Address-based Side-Channels in Binaries”. In: 27th USENIX Security Symposium (USENIX Security 18). Baltimore, MD: USENIX Association, 2018,pp. 603–620. ISBN: 978-1-931971-46-1. URL: https://www.usenix.org/conference/usenixsecurity18/presentation/weiser.
  • David Atienza and Giorgio Di Natale, eds.Design, Automation & Test in Europe Conference & Exhibi-tion, DATE 2017, Lausanne, Switzerland, March 27-31, 2017. IEEE, 2017. ISBN: 978-3-9815370-8-6. URL: http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7919927.
  • Wieland Fischer and Naofumi Homma, eds.Cryptographic Hardware and Embedded Systems -CHES 2017 - 19th International Conference, Taipei, Taiwan, September 25-28, 2017, Proceedings.Vol. 10529. Lecture Notes in Computer Science. Springer, 2017. ISBN: 978-3-319-66786-7. DOI:10.1007/978-3-319-66787-4. URL: https://doi.org/10.1007/978-3-319-66787-4.
  • Marc Green, Leandro Rodrigues-Lima, Andreas Zankl, Gorka Irazoqui, Johann Heyszl, and ThomasEisenbarth. “AutoLock: Why Cache Attacks on ARM Are Harder Than You Think”. In:26th USENIXSecurity Symposium (USENIX Security 17). Vancouver, BC: USENIX Association, 2017, pp. 1075–1091. ISBN: 978-1-931971-40-9. URL: https://www.usenix.org/conference/usenixsecurity17/technical-sessions/presentation/green.
  • Berk Gulmezoglu, Andreas Zankl, Thomas Eisenbarth, and Berk Sunar. “PerfWeb: How to ViolateWeb Privacy with Hardware Performance Events”. In:Computer Security – ESORICS 2017: 22ndEuropean Symposium on Research in Computer Security, Oslo, Norway, September 11-15, 2017,Proceedings, Part II. Ed. by Simon N. Foley, Dieter Gollmann, and Einar Snekkenes. Cham: SpringerInternational Publishing, 2017, pp. 80–97. ISBN: 978-3-319-66399-9. DOI:10.1007/978-3-319-66399-9_5. URL: https://doi.org/10.1007/978-3-319-66399-9_5.
  • Vincent Immler, Robert Specht, and Florian Unterstein. “Your Rails Cannot Hide From Localized EM:How Dual-Rail Logic Fails on FPGAs”. In:Conference on Cryptographic Hardware and Embedded Systems, CHES 2017. 2017.
  • Nisha Jacob, Johann Heyszl, Andreas Zankl, Carsten Rolfes, and Georg Sigl. “How to Break SecureBoot on FPGA SoCs Through Malicious Hardware”. In:Cryptographic Hardware and EmbeddedSystems - CHES 2017 - 19th International Conference, Taipei, Taiwan, September 25-28, 2017,Proceedings. Ed. by Wieland Fischer and Naofumi Homma. Vol. 10529. Lecture Notes in ComputerScience. Springer, 2017, pp. 425–442. ISBN: 978-3-319-66786-7. DOI:10.1007/978-3-319-66787-4\_21. URL: https://doi.org/10.1007/978-3-319-66787-4\_21.
  • Nisha Jacob, Carsten Rolfes, Andreas Zankl, Johann Heyszl, and Georg Sigl. “Compromising FPGASoCs using malicious hardware blocks”. In:Design, Automation & Test in Europe Conference &Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017. Ed. by David Atienza and Gior-gio Di Natale. IEEE, 2017, pp. 1122–1127. ISBN: 978-3-9815370-8-6. DOI:10.23919/DATE.2017.7927157. URL: https://doi.org/10.23919/DATE.2017.7927157.
  • Nisha Jacob, Jakob Wittmann, Johann Heyszl, Robert Hesselbarth, Florian Wilde, Michael Pehl,Georg Sigl, and Kai Fisher. “Securing FPGA SoC Configurations Independent of Their Manufac-turers”. In:30th IEEE International System-on-Chip Conference. 2017.
  • P. Koppermann, F. De Santis, J. Heyszl, and G. Sigl. “Automatic generation of high-performancemodular multipliers for arbitrary mersenne primes on FPGAs”. In:2017 IEEE International Sympo-sium on Hardware Oriented Security and Trust (HOST). 2017, pp. 35–40. DOI:10.1109/HST.2017.7951794.
  • Philipp Koppermann, Fabrizio De Santis, Johann Heyszl, and Georg Sigl. “Low-latency X25519hardware implementation: breaking the 100 microseconds barrier”. In:Microprocessors and Mi-crosystems(2017). ISSN: 0141-9331. DOI:http://dx.doi.org/10.1016/j.micpro.2017.07.001. URL: http://www.sciencedirect.com/science/article/pii/S0141933117300273.
  • Johanna Sepúlveda, Mathieu Gross, Andreas Zankl, and Georg Sigl. “Exploiting Bus Communica-tion to Improve Cache Attacks on Systems-on-Chips”. In:2017 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2017, Bochum, Germany, July 3-5, 2017. 2017, pp. 284–289. ISBN:978-1-5090-6762-6. DOI:10.1109/ISVLSI.2017.57. URL: https://doi.org/10.1109/ISVLSI.2017.57.
  • Johanna Sepúlveda, Mathieu Gross, Andreas Zankl, and Georg Sigl. “Towards trace-driven cacheattacks on Systems-on-Chips - exploiting bus communication”. In:12th International Symposiumon Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2017, Madrid, Spain, July12-14, 2017. IEEE, 2017, pp. 1–7. ISBN: 978-1-5386-3344-1. DOI:10.1109/ReCoSoC.2017.8016150. URL: https://doi.org/10.1109/ReCoSoC.2017.8016150.
  • Johanna Sepúlveda, Andreas Zankl, Daniel Flórez, and Georg Sigl. “Towards Protected MPSoC Com-munication for Information Protection against a Malicious NoC”. In:International Conference onComputational Science, ICCS 2017, 12-14 June 2017, Zurich, Switzerland. Ed. by Petros Koumout-sakos, Michael Lees, Valeria V. Krzhizhanovskaya, Jack J. Dongarra, and Peter M. A. Sloot. Vol. 108.Procedia Computer Science. Elsevier, 2017, pp. 1103–1112. DOI:10.1016/j.procs.2017.05.139. URL: https://doi.org/10.1016/j.procs.2017.05.139.
  • Johanna Sepúlveda, Andreas Zankl, Daniel Flórez, and Georg Sigl. “Towards Protected MPSoC Com-munication for Information Protection against a Malicious NoC”. In:International Conference onComputational Science, ICCS 2017, 12-14 June 2017, Zurich, Switzerland. Vol. 108. Procedia Com-puter Science. Elsevier, 2017, pp. 1103 –1112. DOI:10.1016/j.procs.2017.05.139. URL: https://doi.org/10.1016/j.procs.2017.05.139.
  • Johanna Sepúlveda, Andreas Zankl, and Oliver Mischke. “Cache Attacks and Countermeasures forNTRUEncrypt on MPSoCs: Post-quantum Resistance for the IoT”. In:30th IEEE International System-on-Chip Conference, SOCC 2017, Munich, Germany, September 5-8, 2017. IEEE, 2017, pp. 120–125. ISBN: 978-1-5386-4034-0. DOI:10.1109/SOCC.2017.8226020. URL: https://doi.org/10.1109/SOCC.2017.8226020.
  • Florian Unterstein, Johann Heyszl, Fabrizio De Santis, and Robert Specht. “Dissecting Leakage Re-silient PRFs with Multivariate Localized EM Attacks - A Practical Security Evaluation on FPGA”. In:Proceedings of 8th International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE 2017). Springer. 2017.
  • Andreas Zankl, Johann Heyszl, and Georg Sigl. “Automated Detection of Instruction Cache Leaksin Modular Exponentiation Software”. In:Smart Card Research and Advanced Applications: 15thInternational Conference, CARDIS 2016, Cannes, France, November 7–9, 2016, Revised SelectedPapers. Ed. by Kerstin Lemke-Rust and Michael Tunstall. Cham: Springer International Publishing,2017, pp. 228–244. ISBN: 978-3-319-54669-8. DOI:10.1007/978-3-319-54669-8_14. URL: http://dx.doi.org/10.1007/978-3-319-54669-8_14.

  • Robert Hesselbarth and Georg Sigl. “Fast and Reliable PUF Response Evaluation from UnsettledBistable Rings”. en. In:Euromicro Conference on Digital System Design (DSD 2016). Limassol,Cyprus, 2016. URL: http://dsd- seaa2016.cs.ucy.ac.cy/index.php?p=DSD2016
  • Philipp Koppermann, Fabrizio De Santis, Johann Heyszl, and Georg Sigl. “X25519 Hardware Imple-mentation for Low-Latency Applications”. en. In:Euromicro Conference on Digital System Design(DSD 2016). Limassol, Cyprus, 2016. URL: http://dsd-seaa2016.cs.ucy.ac.cy/index.php?p=DSD2016
  • Ralph Nyberg, Johann Heyszl, Dietmar Heinz, and Georg Sigl. “Enhancing Fault Emulation of Tran-sient Faults by Separating Combinational and Sequential Fault Propagation.” In:ACM Great LakesSymposium on VLSI. Ed. by Ayse Kivilcim Coskun, Martin Margala, Laleh Behjat, and Jie Han. ACM,2016, pp. 209–214. ISBN: 978-1-4503-4274-2. URL: http://dblp.uni-trier.de/db/conf/glvlsi/glvlsi2016.html#NybergHHS16
  • Bodo Selmke, Johann Heyszl, and Georg Sigl. “Attack on a DFA protected AES by simultaneouslaser fault injections”. en. In:Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC2016). to appear. Santa Barbara, CA, USA, 2016. URL: http://conferenze.dei.polimi.it/FDTC16/
  • Hermann Seuschek, Johann Heyszl, and Fabrizio De Santis. “A Cautionary Note: Side-Channel Leak-age Implications of Deterministic Signature Schemes”. In:Proceedings of the Third Workshop onCryptography and Security in Computing Systems. ACM. 2016, pp. 7–12.
  • ndreas Zankl, Katja Miller, Johann Heyszl, and Georg Sigl. “Towards Efficient Evaluation of aTime-Driven Cache Attack on Modern Processors”. In:Computer Security – ESORICS 2016: 21st Eu-ropean Symposium on Research in Computer Security, Heraklion, Greece, September 26-30, 2016,Proceedings, Part II. Ed. by Ioannis Askoxylakis, Sotiris Ioannidis, Sokratis Katsikas, and CatherineMeadows. Cham: Springer International Publishing, 2016, pp. 3–19. ISBN: 978-3-319-45741-3.DOI:10.1007/978-3-319-45741-3_1. URL: http://dx.doi.org/10.1007/978-3-319-45741-3_1
  • D. Adam, S. Tverdyshev, C. Rolfes, T. Sandmann, S. Baehr, O. Sander, J. Becker, and U. Baum-garten. “Two Architecture Approaches for MILS Systems in Mobility Domains (Automobile, Railwayand Avionik)”. In:International Workshop on MILS: Architecture and Assurance for Secure Systems (MILS 2015). 2015. URL: http://mils-workshop.euromils.eu/downloads/hipeac_literature/03-mils15_submission_5.pdf.
  • Johann Heyszl and Florian Thiel. “Geldspielgeräte in Zukunft mit geprüfter Sicherheit”. In:Daten-schutz und Datensicherheit-DuD39.4 (2015), pp. 234–239
  • Ralph Nyberg, Johann Heyszl, Dirk Rabe, and Georg Sigl. “Closing the gap between speed andconfigurability of multi-bit fault emulation environments for security and safety-critical designs”.In:Microprocessors and Microsystems - Embedded Hardware Design39.8 (2015), pp. 1119–1129.DOI:10.1016/j.micpro.2015.05.015. URL: http://dx.doi.org/10.1016/j.micpro.2015.05.015
  • Ralph Nyberg, Johann Heyszl, and Georg Sigl. “Efficient Fault Emulation through Splitting Combina-tional and Sequential Fault Propagation”. In:1st International Workshop on Resiliency in EmbeddedElectronic. 2015.
  • Bodo Selmke, Stefan Brummer, Johann Heyszl, and Georg Sigl. “Precise Laser Fault Injections into90 nm and 45 nm SRAM-cells”. In:Smart Card Research and Advanced Applications - 14th In-ternational Conference, CARDIS 2015, Bochum, Germany, November 4-6, 2015. Revised SelectedPapers. 2015, pp. 193–205. DOI:10.1007/978- 3- 319- 31271- 2_12. URL: http://dx.doi.org/10.1007/978-3-319-31271-2_12
  • Robert Specht, Johann Heyszl, Martin Kleinsteuber, and Georg Sigl. “Improving Non-profiled At-tacks on Exponentiations Based on Clustering and Extracting Leakage from Multi-channel High-Resolution EM Measurements”. In:Constructive Side-Channel Analysis and Secure Design - 6thInternational Workshop, COSADE 2015, Berlin, Germany, April 13-14, 2015. Revised Selected Pa-pers. 2015, pp. 3–19. DOI:10.1007/978-3-319-21476-4_1. URL: http://dx.doi.org/10.1007/978-3-319-21476-4_1